library IEEE; use IEEE.std_logic_1164.all; entity Lab6 is port ( RST: in STD_LOGIC; MyIn: in STD_LOGIC; CLK: in STD_LOGIC; MyOut: out STD_LOGIC ); end Lab6;